ログイン
ユーザ名:

パスワード:


パスワード紛失

新規登録
Main Menu
Tweet
Facebook
Line
:-?
« 1 (2) 3 4 5 ... 8 »
スレッド表示 | 新しいものから 前のトピック | 次のトピック | 下へ
投稿者 スレッド
webadm
投稿日時: 2006-4-24 23:50
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
基板用コネクタ
秋葉原で36pinのアンフェノール基板用コネクタも購入。あちこちで見るピッチの問題について気になっていたがやはり同時に購入した1/10インチの蛇の目基板と合わせてみるとピッチが違っていて刺さらない。

25pin Dsubコネクタも同様に1/10mmピッチなので従来のユニバーサルボードにはそのままでは刺さらない。巻き尺でピン間隔を測ってみるとちょうど0.2mmだった。

やはりパーツが小さくなるにつれてヤード・ポンド法では限界があるのだろう。新しい部品は皆メートル法になっているのかも。

同じ日に配線材も購入。こちらはAWG20の10色。これが例のコネクタに収まるちょうど限界の太さらしい。

はまりそうだったのがACアダプターの電源ソケット。中央のピンが2.1mmのものと2.5mmのものがあることを店頭で初めて知る。良く見ると電源容量や電圧によって規格が決まっているらしい。5vで比較的電流が少ないものは#2という規格で2.1mm径のものになるらしい。心配なので小型の同径のスイッチングACアダプタも併せて購入。持ち帰って秋月のH8ボードのと見比べると同じものだった。よかった。

ちなみに秋月とかで売っている小型のスイッチングACアダプターを良くみるとどれも小さく良く見えないけど今話題の<PSE>マークが付いていた。

気になって自宅にある電気製品を見てみたらほとんどが製造が2001年以前なので<PSE>マークは見あたらないか、旧電取マーク(〒マーク)しかついていない。そういうものなのかもしれない。面倒な法律をつくったものだ。
webadm
投稿日時: 2006-4-26 13:31
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
ブレッドボードで動作確認してみた
先日分納を依頼したその直後に欠品が無くなったということで全品送ってもらえることになった。

昨日それが届いたので早速ブレッドボード上で4石3state bufferを組んで動作させてみることにした。

シミュレーションではそれなりに動くものの実際にその通り組んでみるとstaticな動作に関してはその通りなのだが、実際にパルス信号を入力させてやるとうんともすんとも出力が変化しない。

ロジックチェッカであちこちあたるとどうやら抵抗値が大きすぎて2段目以降のトランジスタがちっともON/OFFしていないことが発覚。

手持ちの抵抗を適当に低い方を試してみたら以下のような定数に直してまともな出力が出るようになった。



それでも初段がエミッタ接地増幅なので立ち上がりのディレイがOFF出力の遅延を招くためあまり高速にはスイッチングできない。

以下は1MHzのクロック入力を与えた時の入力と出力をオシロスコープで観測したものである。重なってしまっているが、下が入力で上が出力。出力側の立ち下がりエッジが入力のそれから少し遅れているのが確認できる。



1MHzでこの状態なので、それ以上の高いクロックを与えるとデューティ比が悪くなっていき、しまいにはOFFしきれなくなってしまう。

FLEX10KのJTAGのTCLKの最小クロック周期は確か100nsなので10MHzが最大で使用可能である。しかし今回のバッファは1MHzが精一杯である。実際にパラレルポートを使用した場合にどのくらいのレートかは不明だがやってみるしかない。
webadm
投稿日時: 2006-4-27 6:47
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
ブレッドボードでの実験環境
ブレッドボードを使うのは生まれてはじめてなので、最初見たときどうやってつかうのかと悩んでしまった。

よく見ると一つの島の横の一列はバス上に結線されているらしい。穴は1/10インチピッチなのでDIPタイプのICなどに好都合。

トランジスタは足を少し広げる感じですんなり入った。抵抗は足が長いのでかなり自在につなげることができる。そんな感じで結線して動作させている状態の写真を撮ってみた。



右にあるのはFLEX/CQ基板でFPGAは使っておらず同基板上にのっているクロック回路が16MHzを原発として74HC4040でプリスケールされた8/4/2/1M/512/256/128/64kHzのさまざまなレートのクロック信号が使えるので利用しているだけである。FLEX8000は既に規模が小さくてFELX/CQ基板いずれはテストパターンジェネレータとして余生を送ることになるのかもしれない。ちょっとしたインターフェースの相手側を模擬させるのにはまだ使える。

オシロはだいぶ使っていないテクトロの古い475というタイプ。
やはりオシロがあるとないとではだいぶやれる範囲は違ってくる。
webadm
投稿日時: 2006-4-27 21:13
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
テクトロ475の状態
10年ぶりにテクトロの475オシロに火を入れて動いてくれたのはよかったが、どうも2ch目を使おうとするとまったく振れてくれないことが判明。

レンジ切り替えスイッチを回したりすると時々振れるようになったりノイズが混じったりするので接触不良だとわかる。

どうにか接触が保たれるようにごまかしながら先の写真撮影を行ったわけである。

あとで検索してみたら同じオシロを使っている方々がご健在なようでこの接触不良は典型的な475の持病であることも判明。おじさん工房というサイトでおもしろいアイデアで電子工作を楽しんでおられる方を発見。掲示板も似たようなおじさんでにぎわっている様子。ここ数年電子工作系の掲示板は日本ではどこも廃れたような感じで残念だったが、これはうれしい誤算。

おじさん工房へようこそ!

しかし表示器に100円電卓のLCDを使うというのはすごいアイデアだ。万年時計もそれにすればかなりスペース/材料を少なくすることができたと思う。
webadm
投稿日時: 2006-4-30 12:14
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
PoorMan's Byteblaster II
お待ちかねトランジスタだけでできたALTERA BYTEBLASTER IIもどきプログラミングケーブルを製作してみた結果報告。



整然と24石のトランジスタ(後でもう1個増え25石)が並んでいる姿は美しいというか本当に作ってしまったのはアホというか。裏の配線はこんな感じ。



同じ回路パターンなので配線やハンダ付けがつらいかなと想像していたら予想に反して、単調な繰り返しが毛糸編みに似ていて予想外に安堵感があり、人類共通の工芸の遺伝子が発動しているのを実感。意外に長い時間続けても疲れないのが不思議。かえって繰り返し性の無い部分が短時間でも疲れがたまる。

実は見かけは綺麗で動作しそうに見えて大きな落とし穴があった。

実際に動作確認のためにコンフィグレーションできるFPGA/CPLDボードボードが必要。こないだトラ技に付録についてきたCPLD基板を使うために高いけど一緒に部品セットも購入してあったのでそれも組み上げておいた。こちらはハンダ付けする部品は少ないがべたグランドプレーンがあるのでグランド部分は温度が上がりにくく慣れないとハンダ付けは難しい。おまけに部品セットに入っていたJTAG用の10pinコネクタがメスだったり。仕方がないので手持ちのピンヘッダを切って挿すことに。メスのコネクタは電源ソケットとして活用。

JTAGピンの接続ケーブルは端子金具を圧着するのが慣れるまでが大変で、圧着器具はあるもののどの穴でかしめればいいのか分かるまで何本か出来の悪いのを作るはめになった。AWG20では少し配線材としては太いということも判明。ちょっと剛性が高すぎてしなやかさに欠ける。もう少し細めのがよさそうだ。それと浅草ギ研のは金具が金属リールについたままの状態なので引きちぎって使うタイプ。かしめた後裸の状態ならピンヘッダにしっかり刺さるものの、ハウジングにいれるとスカスカな時があり、どうもハウジング内の金具位置の遊びが大きめなのでしっかり奥まで金具を入れないと届かないようだ。

そんなこんなでやっとCPLD基板と拙作プログラミングケーブルをつなぐことができた。しかしここで問題発生。接続してCPLD基板に電源を入れると時々異常に大きな電流が流れてレギュレーターがオーバーヒートしてしまう。良く調べてみると最初にこしらえたグランド信号のケーブルが少し心線がばらけてしまっていて隣のVccピンにハウジング内で短絡を起こしていたことが判明。とりあえずグランドはもう一本あるので出来の悪い方は引っこ抜いてしまうことで解決。

ようやくQuartus IIでトラ技付録のLED点灯のやつをやってみることに。しかし最初のAuto Detectを試してみると、なにもつないでない状態で行うのとは少し時間が長いもののデバイスを検知できないという結果。長い時間の間はJTAGのTCKがずっとトグルしているのがオシロで観測された。周期は2usで周波数にすれば0.5MHzと基本的には余裕なはず。

更にオシロでTCKの出力波形を観測すると慟哭の事実を眼にすることに。まず波形がつぶれていてHighレベルが閾値ぎりぎり。ロジックチェッカではパルスが確認できるが、デューティ比も悪い。

どうもOutput Enableの制御に使っているPCのプリンタポートのデータラインのfan outが多すぎてレベルが下がってしまっているためか初段のトランジスタがまたしても機能していない。そのためTCKについて言えばトーテムポールの下側のトランジスタが常にOFF状態のままとなり辛うじてCPLD基板側でTCKがpull downしてあるので上側のトランジスタがエミッタフォロワー回路となり少しだけ出力波形が出ている感じ。

とりあえずOutput Enable信号を追加のトランジスタでエミッタフォロワーバッファを介して全バッファのOutput Enable入力として分配するように変更。これによってAuto DetectでEPM240とかが出てくるようになるが、プログラミングしようとするとverifyでエラーが出る。

どうもまったく前段のトランジスタがON/OFFしないのは変わらない。多少出力波形がメリハリがついてきたので少しまともになった程度らしい。

更にオシロであちこち観測点を変えながら繰り返しプログラミングを試してみると、あるところにプローブをあてた状態だと問題なくverifyが通り、LEDが点灯することを確認。ちゃんと動くじゃないか。



これが昔からある魔法のプローブ現象、つなげておくと動くけど外すとまた動かなくなる謎。上側のON/OFFしているトランジスタのベースにプローブをつなげた状態だとぎりぎり動く感じ。CFM(Configuration Flash Memory)のEraseやプログラムも問題ない、CFMに書き込んでやると電源を入れるとすぐにLEDが点灯する状態になる。CPLDとしてちゃんと使えるじゃないか。

ようやくトラ技付録のMITOUJTAG評価版を試してみる時がやってきた。とりあえずALTERAのケーブルとして接続設定をすると認識された。使い方がいまいち分からないのでロジアナ機能を試してみると、それらしい波形がサンプルできた。LED出力に使っているIO1ピンが見あたらないと思ったら一番下にあった。ちゃんと常時Hightが出力されている。



残念ながらMITOUJTAG評価版でCPLDをコンフィグレーションしてみるとWrite Failureとなってプログラミングできないようだ。Quartus IIの時と波形がちょっと違うのでタイミング的に厳しいのかもしれない。ロジアナ表示で使っていない他のIOピンはランダムに変化しているように見えるけど、あっているのだろうか?

まあとりあえず原理動作は確認できたので、ブレッドボード上だとうまく動くのに実際に組むと違う動作をする問題を解決できれば上出来。試しに同じプリンターポートのTCK信号を以前こしらえたブレッドボード上の回路に入力してあたえてやるとこちらはちゃんと綺麗な出力がでる。違いと言えば出力負荷がブレッドボード上はテブナン終端で実機はpull downのみである点しかない。そこに原因があるのかもしれない。

うまく問題が解決できたら回路図を公開予定。

webadm
投稿日時: 2006-5-2 2:55
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
なんとかプローブを外しても動作するようになった
ブレッドボードと実際に組んだ回路とでは定数が違っていたことに気づいた。

もともと10Kオームを初段のベース電流制限抵抗としてブレッドボードでは付けていたが、実機では5.6Kオームだった。一見どうということもないはずが実機では思わぬことが起きていた。

実機の出力波形がブレッドボードのとは似てもにつかずつぶれている上に階段上に段差がある。入力から追っかけていくと、初段のトランジスタのコレクタ電圧と比較して観測すると慟哭の事実が判明。



なんとパルス幅がコレクタ出力上では倍に伸びている。良く見ると入力となるプリンタポートのD0の出力にわずかな段差がもともとあることがわかる。まさかこのわずか0.1v程度の段差まで増幅してしまっているのだろうか。

実際にはそうだった、ブレッドボードと同じ抵抗値に上げてみるとそのわずかな段差まで増幅してしまうことは無くなった。

あと出力レベルが低いのは出力イネーブル信号がファンアウトが多すぎて電圧が低下してしまっているため、ON状態ではエミッタ電圧がベース電圧-0.7vを超えることが無いため予想に反して波形が低い結果となっていた。

仕方がないので問題となっているTCK用のバッファだけ特別にエミッタフォロワー回路でバッファした出力イネーブル信号を使うことにした。これだと3.3-0.7=2.6v程度になるため、ぎりぎり出力はHレベルの閾値を超えるはず。

やってみると出力波形は入力に忠実になったが、まだプローブをつけないとまともになにもできない。入力となるTCKラインに300pのセラコンを付けている回路を良くみかける。確かにそこにプローブをつなげておくと動くときがある。仕方がないので魔法のプローブを付けると動く部分には300pのセラコンを片っ端から付けていくと、どうにかプローブを外した状態でもAuto detectが失敗しないようになり、読み出しや書き込みも出来るようになった。



MITOUJTAGも通常サンプル(サンプル後に表示更新)と表示しながらサンプルのどちらも使えるようになった。IO1が常にHになっているので結果はあっていると信じよう。うまくいかないときは皆赤で0出力のような表示になる、ターゲットをつながない状態や電源を切った状態でサンプルするとそれと同じ表示がされる。おそらくCPLDは受動的な動作なので結果がまともかは判断ができないと思われる。



サンプルが終わって表示が終わった後も9999のまま計測中表示なのはstatusバーのupdateをし忘れだろうか。実際redrawを発生させるようなwindows上の操作を行うと待機中にstatus表示が変わるのでそうだろう。

通常サンプルもこんな感じ。終わった後も"9900 計測中"と終了直前の状態のままなのは気持ちが悪いので直して欲しいが製品版では直っていることを期待しよう。



よせばいいものをMITOUJTAGで書き込みが失敗するのをなんとかしようと他のJTAG出力バッファについてもTCKと同じように回路を修正した。その結果、MITOUJTAGのロジアナ機能がまったく正常な表示をしてくれなくなってしまった。

なんとかQuartus IIでのプログラミング操作は出来るので当面プログラミングはそちらでやればいいのだが。それにしても波形が変だ。



上の方が入力となるTMS信号で下側が出力波形。パルス幅は忠実だが段差が出来ている。これがどうしてそうなるのかは謎なのでこれから解明。TCKパルスはだいたいこのパルスの中間あたりに入るので、段差のあるためsetup時間を十分満たせないのが原因と思われる。

ちなみにQuartus IIが比較的こんなインチキケーブルでもまともに動くのはパルスのH時間が長めでLが短めなため。MITOUJTAGはその逆でH時間が短めでL時間が長め。そのためタイミング的にかなり厳しい。
webadm
投稿日時: 2006-5-2 5:30
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
LEDチカチカとMITOUJTAG
そういえば内藤さんのJTAG日誌で以前トラ技付録のCPLD基板用のLEDチカチカがあったのを思い出す。

さっそくautosig.lzhをダウンロードしてQuartus IIで書き込んでみたらあっさり動いた。ほんとにどのIOピンにLEDをつないでもチカチカ点灯する、良くできている。



MITOUJTAGを立ち上げてみたがMITOUJTAGでダウンロードしないと画面はチカチカしないのだろうか、いつもと変わらず。試しにロジアナを使ってみると、動かないくなってしまったと思っていたロジアナ機能が使える。



全ピンH入力(緑)で時々Lを出力(赤)している、IO1ピンも同じようにしているので動作としてはあっているのか。しかしトグルしている間隔がちょっと合わない気もするがこれであっているのか?

調子にのってMITOUJTAGの他の機能を使ってみると、どうやらエラーに遭遇しているらしくどれも使えない。そしてまたロジアナも真っ赤で全pinがall 0 出力の表示しかでなくなってしまった。

でもMITOUJTAGを終了してまた立ち上げなおすとまた緑のロジアナ表示ができるようになる。そういうことか。今度から立ち上げなおせばOKな気がする。

そういえばMITOUJTAGで気になることがもうひとつ。マウススクロールボタンでズームインアウトができるのだが、どうもやっていると画面外に表示がどんどん逃げていってしまって、どうやっても元に戻せない。また立ち上げ直せば済むことだけど。あれは何につかうのだろうか。回路図CADとかである機能だけれども、windows領域全体にfitさせるとか初期表示に戻すという機能があってもよさそうな気がする。評価版だからしかたがないか。
webadm
投稿日時: 2006-5-2 9:34
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
MITOUJTAG トラ技CPLD用体験版5月号バージョン
そういえばトラ技の5月号の付録CDにMITOUJTAGの改良された体験版が添付されていたのに今更気づいた。

早速古いのをuninstallして新しいのをinstallしCPLD基板をLEDチカチカした状態で起動してrunボタンを押すと、今度はしっかり基板のIO端子表示部がチカチカと表示更新されることを確認。すると前の版ではうまく動かなかったのが謎。実に良くできている。



しかしやっぱりfree run表示を止めた後にロジアナ機能を使おうとすると真っ赤っかにしかならない。途中で止めると不整合が生じるのかな。JTAG TAPコントローラのリセットってどうやっているのか謎。

やはり一度止めると再度free runしても現在状態を表示してはくれなかった。こういうものなのかもしれない。

と言いながらもしやと思ってJTAGコネクタのところを少しさわってみたらあら不思議ロジアナもfree run状態表示もすっかり元通り動くようになってしまった。やはりかしめかたがまずいのか接触が悪いピンがあるようだ。あまりのインチキぶりに愕然とした。そういえば少し動かしたりするとちゃんと動くようになることがあったにはあった。XILINXとかのJTAGコネクタのケーブルが高いのはそういう信頼性の問題もあるのだろう。

トラ技CPLD基板部品キットの中にプリンタポートケーブルの材料も入っていたので今度CPLD基板上のByteblaster互換回路を使ってやってみよう。

ロジアナウインドウのステータスバーの状態表示更新抜けはまだ直ってなかった。
webadm
投稿日時: 2006-5-4 3:21
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
本当の原因は半田付け不良だったというおち
トラ技CPLD基板搭載のByteblaster MV互換プログラミングケーブルを使った結果と比較してどうも入力側のバッファも見直さないといけない感じがしてきた。

やはりというか初段のベース電流制限抵抗を低くしてしまったのでパルス幅が忠実でなくなっている。ここを5.7kから22kに変更したところいままでエラーが出たり意図した通り動作しなかったMITOJTAGのすべての機能が問題無く使えるようになった。万歳と思った直後に暗雲が。

またしても接触不良かまったく動かなくなってしまった。ロジアナも真っ赤で0出力状態。

そういえばオシロでTDOの入力バッファの出力波形を観測している最中に時々ハイインピーダンス状態になる時があった。少しあたふたしながらあちこちさわっているとまた何もなかったように波形が出力されることがあった。そこに早く気づくべきだった。

もしや接触不良はコネクタではなくて入力バッファ回路にあれば今まで悩まされた現象がすべて説明がつく。

入力バッファの出力が出なくなったときに出力段のトランジスタを少し指で動かすと元に戻る時があることを発見。ルーペで丹念に調べると、リード線で配線の大半をすませてしまった弊害として上から見ると重なっているのでつながっていると思ったら横から見るとわずかにコンタクトしているだけで半田付けされていないことを発見。入力バッファの出力段のトランジスタのコレクターとコレクタ電流制限抵抗の間であった。

すかさず半田付けをしっかりやり直すと、今までの苦労が嘘のようにすべてがうまく動いてくれるようになった。完成である。
webadm
投稿日時: 2006-5-4 5:37
Webmaster
登録日: 2004-11-7
居住地:
投稿: 3068
と思ったら今度はQuartus IIでまずいことに
MITOUJTAGではすべて問題ないが今度は逆に今まで安定していたQuartus IIでの動作がだめになってしまった。

Auto detectもかなりの確率で失敗する。
« 1 (2) 3 4 5 ... 8 »
スレッド表示 | 新しいものから 前のトピック | 次のトピック | トップ

投稿するにはまず登録を
 
ページ変換(Google Translation)
サイト内検索